iic_verilog

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:88KB
下载次数:206
上传日期:2011-02-19 22:02:14
上 传 者daluoping
说明:  iic 程序 用verilog语言编写,可以直接使用
(iic program using verilog language, can be used directly)

文件列表:
IIC总线协议 verilog代码实现\i2c_master_control.v (2580, 2004-04-01)
IIC总线协议 verilog代码实现\i2c_master_top.v (1110, 2004-04-01)
IIC总线协议 verilog代码实现\i2c_slave.v (6568, 2004-04-01)
IIC总线协议 verilog代码实现\i2c_testbench.v (3255, 2004-04-01)
IIC总线协议 verilog代码实现\i2c_top.v (636, 2004-04-01)
IIC总线协议 verilog代码实现\SCL_generator.v (3104, 2004-04-01)
IIC总线协议 verilog代码实现\SDA_process.v (5062, 2004-04-01)
IIC总线协议 verilog代码实现\使用说明请参看右侧注释====〉〉.txt (774, 2008-01-28)
IIC总线协议 verilog代码实现 (0, 2008-09-25)

近期下载者

相关文件


收藏者