BSP_pcPentium

所属分类:VxWorks
开发工具:C/C++
文件大小:1110KB
下载次数:289
上传日期:2006-02-04 22:32:02
上 传 者szwzhsz
说明:  Vxworks For PC Pentium 的BSP,已在Vmware上调试通过,可以在pentium/pentiumII/pentium/PentiumIV 上运行,以太网口工作正常. 调试环境,Tornado for Pentium
(Vxworks For PC Pentium BSP, in VMware on debugging, the Pentium/pentiumII/Pentium/PentiumIV shipments OK, Ethernet I work normally. Debugging Environment, Tornado for Pentium)

文件列表:
pcPentium\00bsp.cdf (6186, 2002-03-30)
pcPentium\00html.cdf (285, 2000-11-18)
pcPentium\Makefile (3753, 2002-07-16)
pcPentium\config.h (31737, 2006-02-04)
pcPentium\configHtml.h (1278, 2002-04-26)
pcPentium\configInum.h (8566, 2002-06-21)
pcPentium\configNet.h (5213, 2002-04-26)
pcPentium\mkboot.c (25394, 2002-07-19)
pcPentium\pc.h (13865, 2002-07-12)
pcPentium\pciCfgIntStub.c (21773, 2002-03-20)
pcPentium\pciCfgStub.c (4150, 2001-11-10)
pcPentium\romInit.s (11216, 2002-06-21)
pcPentium\romcard.s (1658, 1995-05-20)
pcPentium\sysALib.s (19820, 2002-03-20)
pcPentium\sysDec21x40End.c (19181, 2002-04-25)
pcPentium\sysEl3c90xEnd.c (20601, 2002-03-12)
pcPentium\sysElt3c509End.c (6694, 2002-06-20)
pcPentium\sysFei82557End.c (35069, 2002-07-18)
pcPentium\sysGei82543End.c (46517, 2002-07-13)
pcPentium\sysLib.c (80021, 2002-07-16)
pcPentium\sysLn97xEnd.c (19880, 2006-01-10)
pcPentium\sysNe2000End.c (4959, 2001-11-10)
pcPentium\sysNet.c (7535, 2002-04-25)
pcPentium\sysNetif.c (12132, 2001-11-10)
pcPentium\sysNvRam.c (5149, 2002-07-19)
pcPentium\sysScsi.c (18322, 2001-11-29)
pcPentium\sysSerial.c (5170, 2002-04-23)
pcPentium\sysTffs.c (38639, 2001-10-01)
pcPentium\sysUltraEnd.c (5449, 2001-11-10)
pcPentium\sysWindML.c (36171, 2002-06-18)
pcPentium\target.nr (68397, 2002-07-19)
pcPentium\usbPciStub.c (18881, 2002-05-21)
pcPentium\depend.pcPentium (57185, 2006-01-11)
pcPentium\bootInit.o (1236, 2006-01-11)
pcPentium\romInit.o (1892, 2006-01-11)
pcPentium\bootConfig.o (27976, 2006-01-11)
pcPentium\sysALib.o (3028, 2006-01-11)
pcPentium\sysLib.o (47696, 2006-01-11)
pcPentium\bootrom.Z.s (1832159, 2006-01-11)
... ...

README: PC-386/486/Pentium[234]/iacsflP[23] This file contains board-specific information for a generic PC-386/486/ Pentium[234]/iacsflP[23] target board. This file documents BSP interface changes from previous software or hardware versions, and notifies the user of serious caveats that must be noted before using this BSP. Additionally, the target board's reference entry (e.g., man pcXXXX for UNIX or the HTML reference entries) provides board-specific information necessary to run VxWorks. The target reference entry should be read fully before this BSP is used. -------------------------------------------------------------------------------- RELEASE 1.2/2 First release for Tornado 2.2 replaced NUMBER_OF_IRQS with sysInumTblNumEnt added sysBp(TRUE in default) that is used by sysSerial.c and xxIntr.c added CPU type PENTIUM[234], removed CPU_VARIANT added sysCsSuper, sysCsExc, sysCsInt in sysALib.s removed sysCodeSelector, ROM_XXX offset macro, ideDrv updated CPUID (sysCpuId) structure: sysCpuId.version -> sysCpuId.signature sysCpuId.vendor -> sysCpuId.vendorId sysCpuId.feature -> sysCpuId.featuresEdx updated sysCpuProbe(), sysIntEoiGet(), [li]oApicIntr.c renamed INT_VEC_GET()/XXX_INT_VEC to INT_NUM_GET()/INT_NUM_XXX The WRS Intel 80x86-based and Pentium-based BSP Makefiles formerly defined bootrom_high, vxWorks_low, and vxWorks_rom_low build targets. These images are deprecated and will no longer be supported. RELEASE 1.2/0 First release for Tornado 2.0 RELEASE 1.1/4 This release includes Pentium/PentiumPro support. RELEASE 1.1/3 This release includes TrueFFS support. This release includes support for the fei Enhanced Network Driver. RELEASE 1.1/2 This release includes SCSI-2 driver support for the AHA-2940 PCI SCSI Adapter card. Fixed SPR 9622 to add support of shared interrupts for fei driver. Fixed SPR 8248 to remove obsolete vxsys.c. Fixed SPR 6617 to add documentation for software floating point support. RELEASE 1.1/1 This release includes timestamp driver support, ATA support, compressed bootrom support, and pci support. RELEASE 1.1/0 This is a new release of the pc386 BSP for Tornado 1.0. Only the serial driver has been changed to the new sio driver.

近期下载者

相关文件


收藏者