wdog_sp805

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:208KB
下载次数:9
上传日期:2015-07-18 09:26:37
上 传 者年少的梦
说明:  看门狗模块是一个AMBA从属模块连接到高级 外设总线(APB)。看门狗模块包括一个32位的递减计数器用 可编程超时间隔具有产生中断和能力 对超时复位信号。它的目的是要使用到复位应用于在一个系统 事件的软件故障。
(The Watchdog module is an AMBA slave module and connects to the Advanced Peripheral Bus (APB). The Watchdog module consists of a 32-bit down counter with a programmable timeout interval that has the capability to generate an interrupt and a reset signal on timing out. It is intended to be used to apply a reset to a system in the event of a software failure.)

文件列表:
wdog_sp805\verilog\Watchdog.v (14795, 2011-05-25)
wdog_sp805\verilog\WdogFrc.v (19356, 2011-05-25)
wdog_sp805\verilog\WdogPackage.v (2145, 2011-05-25)
wdog_sp805\verilog\WdogRevAnd.v (2236, 2011-05-25)
wdog_sp805\DDI0270_WDT_SP805.pdf (331924, 2011-06-07)
wdog_sp805\verilog (0, 2013-10-15)
wdog_sp805 (0, 2013-10-15)

近期下载者

相关文件


收藏者