VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:11
上传日期:2011-02-27 16:14:15
上 传 者爱谁谁
说明:  时钟发生器用于生成不同的时钟信号clock、clk2、fetch与alu_clk,产生的时钟信号clk送往寄存器与状态控制器,时钟信号clk2送往数据控制器与状态控制器,信号fetch送往数据控制器与地址多路器,信号alu_clk送往算术逻辑单元。
(Clock generator to generate different clock signals clock, clk2, fetch and alu_clk, generated clock signal sent to register with the state controller clk, the clock signal clk2 sent to the data controller and the state controller, the signal sent to fetch the data controller and address of the multiplexer, the signal sent to the arithmetic logic unit alu_clk.)

文件列表:
VHDL.doc (24064, 2011-02-27)

近期下载者

相关文件


收藏者