sig-delta-example
所属分类:matlab编程
开发工具:Visual C++
文件大小:3KB
下载次数:3
上传日期:2015-09-06 11:38:24
上 传 者:
zhuxiaochao
说明: 采用串行数据来读取电流采样值,可以抑制电流的干扰,已经用于实际
(Serial data read current sampling values, you can suppress interference currents, it has been used for real)
文件列表:
sig-delta-example\diff.v (286, 2007-04-19)
sig-delta-example\filter.v (2245, 2007-04-25)
sig-delta-example\FILTER_tb.v (1252, 2007-04-19)
sig-delta-example\ter.v (280, 2007-04-19)
sig-delta-example\_wrap.vhd (1599, 2007-04-26)
sig-delta-example (0, 2015-09-06)
//read memory data
//If en=1,the initial counter automatic increases and data stream output with
// the posedge clk
`define MAX_SIZE 1000010
module memory(data,clk,cs);
output data;
input clk;
input cs;
reg data;
reg mem[1:`MAX_SIZE];
integer counter;
//read memory file
initial begin
data =0;
counter =0;
$readmemb("modulate.rom",mem);
end
always @(posedge clk)begin
if(counter>`MAX_SIZE)
$finish;
if(cs)begin
counter=counter+1;
data=mem[counter];
end
end
endmodule
近期下载者:
相关文件:
收藏者: