Divider_Verilog_ISE

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:325KB
下载次数:2
上传日期:2015-11-16 09:29:00
上 传 者feitian_pudn
说明:  用Verilog语言编写的分频程序,包含奇数分频、偶数分频等许多例程。
(Using Verilog language division procedures, including odd division, even dividing and many other routines.)

文件列表:
Divider\.lso (6, 2015-01-30)
Divider\clk_N_5_div.prj (30, 2015-01-30)
Divider\clk_N_5_div.stx (880, 2015-01-30)
Divider\clk_N_5_div.v (1740, 2015-01-30)
Divider\clk_N_5_div.xst (79, 2015-01-30)
Divider\DIVIDER.ise (184479, 2015-02-02)
Divider\DIVIDER.restore (56786, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\version (138, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject (201, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject_StrTbl (20, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\__stored_object_table__ (60, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_isim_beh.exe (51, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_isim_beh.exe_StrTbl (42, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_tf_isim_beh.exe (81, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_tf_isim_beh.exe_StrTbl (161, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_tf_test_isim_beh.exe (57, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ISimPlugin\SignalOrdering1\top_tf_test_isim_beh.exe_StrTbl (81, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl (27, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl_StrTbl (3817, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main (78, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main_StrTbl (33, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\NameMap (29, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\NameMap_StrTbl (10, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects__ (68913, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects___StrTbl (24135, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_object_table__ (55876, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData (222, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData_StrTbl (276, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module (27, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module_StrTbl (15, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-top (293, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-top_StrTbl (9951, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default (297, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default_StrTbl (10638, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\Autonym\regkeys (0, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\bitgen\regkeys (46, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\common\regkeys (173, 2015-02-02)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\cpldfit\regkeys (47, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\dumpngdio\regkeys (49, 2015-01-30)
Divider\DIVIDER_xdb\tmp\ise\__REGISTRY__\fuse\regkeys (44, 2015-01-30)
... ...

近期下载者

相关文件


收藏者