rcvr

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2015-12-25 10:01:07
上 传 者CrazyICer
说明:  verilog的串口接收程序,有详细注释,适合学习
(verilog serial port to receive the program, there are detailed notes, suitable for learning)

文件列表:
rcvr.v (2511, 2001-11-12)

近期下载者

相关文件


收藏者