PWM-dead-zone

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:24
上传日期:2016-01-10 18:57:11
上 传 者cpf543210
说明:  实现PWM输出的死区控制,可保证避免上下桥臂同时导通损坏功率器件
(Achieve PWM output dead time control, can guarantee to avoid simultaneous conduction of upper and lower leg damage power devices)

文件列表:
PWM死区控制\dead_zone.bdf (20514, 2013-04-19)
PWM死区控制\deadz.vhd (426, 2013-04-19)
PWM死区控制 (0, 2016-01-10)

近期下载者

相关文件


收藏者