MIPI-CSI-interface-module

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:303KB
下载次数:238
上传日期:2016-02-18 14:14:12
上 传 者albert-wow
说明:  该代码是用FPGA实现的MIPI CSI接收的代码,可以连接MIPI的摄像头并把摄像头的MIPI数据解析成并行的数据接口与CPU连接
(The code is the code received MIPI CSI implemented in an FPGA, you can connect the camera and the MIPI MIPI camera parse data into parallel data interface connected to the CPU)

文件列表:
MIPI_CSI2_Serial2Parallel_10s_2s_RAW10.ngo (296360, 2014-02-24)
mipi_csi2_serial2parallel_bb.v (700, 2014-03-20)
mipi_csi2_serial2parallel_bridge.v (5784, 2016-02-18)
mipi_csi2_serial2parallel_bridge_demo.v (6720, 2016-02-18)

近期下载者

相关文件


收藏者