VHDL_100Examples

所属分类:VHDL/FPGA/Verilog
开发工具:TEXT
文件大小:194KB
下载次数:296
上传日期:2006-02-15 10:59:54
上 传 者power_onet007
说明:  北京里工大学ASIC设计研究所的100个 VHDL程序设计例子
(Beijing University Institute of ASIC design hundred examples of VHDL Design)

文件列表:
VHDL_100Examples (0, 2005-07-13)
VHDL_100Examples\10_function (0, 2005-07-13)
VHDL_100Examples\10_function\10_bit_to_int.vhd (896, 1999-09-01)
VHDL_100Examples\11_wiredor (0, 2005-07-13)
VHDL_100Examples\11_wiredor\11_wiredor.vhd (858, 1999-09-01)
VHDL_100Examples\12_convert (0, 2005-07-13)
VHDL_100Examples\12_convert\12_convert.vhd (695, 1999-09-01)
VHDL_100Examples\13_SHL (0, 2005-07-13)
VHDL_100Examples\13_SHL\13_SHL.VHD (421, 1999-09-01)
VHDL_100Examples\14_MVL7_functions (0, 2005-07-13)
VHDL_100Examples\14_MVL7_functions\14_MVL7_functions.vhd (13235, 1999-09-01)
VHDL_100Examples\15_MUX41 (0, 2005-07-13)
VHDL_100Examples\15_MUX41\15_MUX41.VHD (2055, 1998-06-18)
VHDL_100Examples\15_MUX41\15_MVL7_functions.vhd (13237, 1998-06-18)
VHDL_100Examples\15_MUX41\15_MVL7_syn_types.vhd (646, 1998-06-18)
VHDL_100Examples\15_MUX41\15_test_vectors_mux41.vhd (5412, 1998-06-18)
VHDL_100Examples\15_MUX41\15_TYPES.VHD (32169, 1998-06-18)
VHDL_100Examples\16_MUX (0, 2005-07-13)
VHDL_100Examples\16_MUX\16_multiple_mux.vhd (1813, 1999-09-08)
VHDL_100Examples\16_MUX\16_MVL7_functions.vhd (13235, 1999-09-08)
VHDL_100Examples\16_MUX\16_test_vectors.vhd (7843, 1999-09-08)
VHDL_100Examples\16_MUX\16_TYPES.VHD (32169, 1999-09-08)
VHDL_100Examples\16_MUX\TYPES.VHD (32169, 1999-09-08)
VHDL_100Examples\17_parity (0, 2005-07-13)
VHDL_100Examples\17_parity\17_parity.vhd (2156, 1998-06-18)
VHDL_100Examples\17_parity\17_test_bench.vhd (2056, 1999-12-27)
VHDL_100Examples\18_LIB (0, 2005-07-13)
VHDL_100Examples\18_LIB\18_tech_lib.vhd (49611, 1998-06-03)
VHDL_100Examples\18_LIB\18_test_lib.vhd (1285, 1998-06-03)
VHDL_100Examples\19_test_194 (0, 2005-07-13)
VHDL_100Examples\19_test_194\19_test_194.vhd (534, 1998-06-18)
... ...

近期下载者

相关文件


收藏者