Blood-type-matching-the-design

所属分类:数值算法/人工智能
开发工具:VHDL
文件大小:55KB
下载次数:1
上传日期:2016-05-16 16:08:46
上 传 者MQmanzhushahua
说明:  血型配对器的设计,设计一血型配对电路,用以检测输血者与受血者之间的血型关系是否符合,如果符合,输出为1,否则为0。
(Blood type matching the design, design a blood type matching circuit for detecting blood transfusion and recipient relationship between meets, if so, the output is 1, and 0 otherwise.)

文件列表:
Blood type matching the design.doc (88952, 2016-05-16)

近期下载者

相关文件


收藏者