UART

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:9KB
下载次数:7
上传日期:2016-06-06 20:35:02
上 传 者龙龙哥
说明:  UART文件 包括发送器 接收器 fifo 测试文件
(UART file includes a receiver transmitter fifo test files)

文件列表:
UART\UART\testbench.v (13831, 2007-11-13)
UART\UART\uart_pc_rx.v (4019, 2007-10-19)
UART\UART\uart_pc_top.v (4279, 2007-10-19)
UART\UART\uart_pc_tx.v (3615, 2007-10-19)
UART\UART\uart_rx.v (4016, 2007-10-09)
UART\UART\uart_top.v (4419, 2007-10-19)
UART\UART\uart_tx.v (3612, 2007-09-20)
UART\UART (0, 2015-11-27)
UART (0, 2016-06-06)

近期下载者

相关文件


收藏者