msk_mod

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:111
上传日期:2011-03-26 02:39:44
上 传 者bihailantian
说明:  msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。
(msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.)

文件列表:
msk_mod.v (4538, 2011-03-25)

近期下载者

相关文件


收藏者