vhdl_source

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:5
上传日期:2016-08-23 15:09:05
上 传 者shashi5752
说明:  VHDL语言实现UART 串口功能;波特率可以自由设置。
(VHDL language UART serial port function,The baud rate can be freely set.)

文件列表:
vhdl_source\rcvr.vhd (3035, 2000-01-27)
vhdl_source\txmit.vhd (2804, 2000-01-27)
vhdl_source\uart.vhd (1628, 2000-01-27)
vhdl_source (0, 2016-08-23)

近期下载者

相关文件


收藏者