of

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:2699KB
下载次数:2
上传日期:2016-10-24 14:00:02
上 传 者141525
说明:  VHDL源码OFDM信号传输系统基于FPGA(Field-Programmable Gate Array)
(VHDL source OFDM signal transmission system based on )

文件列表:
cfft4.vhd (4027, 2016-10-24)
cfft.vhd (12751, 2016-10-24)
cfft_control.vhd (4789, 2016-10-24)
conj.vhd (1763, 2016-10-24)
counter.vhd (1243, 2016-10-24)
div4limit.vhd (1820, 2016-10-24)
FPGA Implementation of an OFDM Modem.ppt (351232, 2016-10-24)
input.vhd (2755, 2016-10-24)
interface.vhd (1402, 2016-10-24)
inv_control.vhd (973, 2016-10-24)
io_control.vhd (1650, 2016-10-24)
juntos.vhd (2369, 2016-10-24)
modem.vhd (3810, 2016-10-24)
modem_summary.html (2045, 2016-10-24)
mulfactor.vhd (2875, 2016-10-24)
mux.vhd (2035, 2016-10-24)
mux_control.vhd (905, 2016-10-24)
OFDM - portuguese.pdf (1141399, 2016-10-24)
ofdm.ise (777681, 2016-10-24)
ofdm.ise_ISE_Backup (777681, 2016-10-24)
ofdm.npl (1595, 2016-10-24)
ofdm.npl_ISE_Backup (1595, 2016-10-24)
ofdm.vhd (4690, 2016-10-24)
ofdm_ise7_bak.zip (1282719, 2016-10-24)
outconvert.vhd (1550, 2016-10-24)
output.vhd (2144, 2016-10-24)
p2r_cordic.vhd (2820, 2016-10-24)
p2r_CordicPipe.vhd (4448, 2016-10-24)
parallel.vhd (990, 2016-10-24)
qam.vhd (1487, 2016-10-24)
qamdecoder.vhd (935, 2016-10-24)
ram.vhd (2964, 2016-10-24)
ram_control.vhd (7016, 2016-10-24)
rofactor.vhd (3398, 2016-10-24)
rxmodem.vhd (3081, 2016-10-24)
sc_corproc.vhd (2848, 2016-10-24)
serial.vhd (1002, 2016-10-24)
serparser.vhd (1167, 2016-10-24)
starts.vhd (1053, 2016-10-24)
startup_timer.vhd (779, 2016-10-24)
... ...

近期下载者

相关文件


收藏者