random_number

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:8
上传日期:2016-12-02 10:23:46
上 传 者
说明:  本文主要介绍利用FPGA的自身的特性实现随机数发生器
(This paper describes the use of FPGA s own characteristics to achieve random number generator)

文件列表:
random_number.v (2202, 2016-12-02)

近期下载者

相关文件


收藏者