FENPIN

所属分类:单片机开发
开发工具:C51
文件大小:11KB
下载次数:1
上传日期:2016-12-19 18:35:47
上 传 者静恒
说明:  程序中的变量TL1决定着分频系数,其值乘以2即为分频系数。 改变其值可以得到相应的分频输出波形(方波)。 P1^1为输出管脚,将其连接示波器可以看到分频后的波形。
(Program variables TL1 determines the frequency division coefficient, the value multiplied by 2 is the frequency division coefficient. Change the value of the corresponding frequency output waveform (Fang Bo). P1^1 for the output pin, the oscilloscope can be connected to see the frequency after the waveform.)

文件列表:
【实验78】分频器1(计数器实现) (0, 2016-12-19)
【实验78】分频器1(计数器实现)\fenpin (1763, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.LST (4012, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.M51 (3475, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.OBJ (1828, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.Opt (984, 2016-12-19)
【实验78】分频器1(计数器实现)\fenpin.Uv2 (2142, 2015-08-18)
【实验78】分频器1(计数器实现)\fenpin.c (1916, 2015-08-18)
【实验78】分频器1(计数器实现)\fenpin.hex (203, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.lnp (29, 2015-08-19)
【实验78】分频器1(计数器实现)\fenpin.plg (296, 2016-12-19)
【实验78】分频器1(计数器实现)\fenpin_Opt.Bak (986, 2016-09-21)
【实验78】分频器1(计数器实现)\fenpin_Uv2.Bak (2141, 2012-02-15)

近期下载者

相关文件


收藏者