motor_control
CVAVR 

所属分类:单片机开发
开发工具:Visual C++
文件大小:256KB
下载次数:4
上传日期:2011-04-07 16:15:25
上 传 者Felisa
说明:  一个对电机进行控制的程序,可以在CVAVR等里运行,包括对应的datasheet以及电路图
(An electrical control procedures, such as to run in the CVAVR, including the corresponding datasheet and schematics)

文件列表:
motor_control\L298N.pdf (200635, 2006-09-05)
motor_control\moter-control\config.h (2636, 2006-11-02)
motor_control\moter-control\delay.c (513, 2006-11-06)
motor_control\moter-control\delay.dp2 (231, 2006-11-06)
motor_control\moter-control\delay.h (204, 2006-11-02)
motor_control\moter-control\delay.lis (4838, 2006-11-06)
motor_control\moter-control\delay.o (1384, 2006-11-06)
motor_control\moter-control\delay.s (2059, 2006-11-06)
motor_control\moter-control\delay._c (513, 2006-11-06)
motor_control\moter-control\device_init.c (1121, 2006-11-02)
motor_control\moter-control\device_init.dp2 (237, 2006-11-06)
motor_control\moter-control\device_init.h (191, 2006-11-02)
motor_control\moter-control\device_init.i (0, 2006-11-06)
motor_control\moter-control\device_init.lis (4520, 2006-11-06)
motor_control\moter-control\device_init.o (981, 2006-11-06)
motor_control\moter-control\device_init.s (2236, 2006-11-06)
motor_control\moter-control\main.c (1099, 2006-11-06)
motor_control\moter-control\main.cof (4509, 2006-11-06)
motor_control\moter-control\main.dbg (2368, 2006-11-06)
motor_control\moter-control\main.dp2 (230, 2006-11-06)
motor_control\moter-control\main.hex (1409, 2006-11-06)
motor_control\moter-control\main.i (0, 2006-11-06)
motor_control\moter-control\main.lis (5747, 2006-11-06)
motor_control\moter-control\main.lk (39, 2006-11-06)
motor_control\moter-control\main.lst (13253, 2006-11-06)
motor_control\moter-control\main.mak (1756, 2006-11-06)
motor_control\moter-control\main.mp (1664, 2006-11-06)
motor_control\moter-control\main.o (1590, 2006-11-06)
motor_control\moter-control\main.prj (912, 2006-11-06)
motor_control\moter-control\main.s (2684, 2006-11-06)
motor_control\moter-control\MAIN.SRC (117, 2006-11-06)
motor_control\moter-control\main._c (615, 2006-11-02)
motor_control\moter-control\main_cof.aps (2316, 2006-11-07)
motor_control\moter-control\motor.c (1548, 2006-11-02)
motor_control\moter-control\motor.dp2 (231, 2006-11-06)
motor_control\moter-control\motor.h (606, 2006-11-02)
motor_control\moter-control\motor.i (0, 2006-11-06)
motor_control\moter-control\motor.lis (6774, 2006-11-06)
motor_control\moter-control\motor.o (1664, 2006-11-06)
motor_control\moter-control\motor.s (3061, 2006-11-06)
... ...

近期下载者

相关文件


收藏者