FPIS

所属分类:模式识别(视觉/语音等)
开发工具:Others
文件大小:2739KB
下载次数:75
上传日期:2011-04-10 19:51:30
上 传 者chuaidan
说明:  基于人脸和指纹的身份识别认证系统》项目文档代码及录相
(Face and fingerprint-based identification authentication system " project documentation and video code)

文件列表:
FPIS\TestApp\src\TestApp.c (4280, 2005-06-13)
FPIS\TestApp\src\TestAppLinkScr (2922, 2005-06-13)
FPIS\TestApp\src (0, 2005-07-15)
FPIS\TestApp (0, 2005-07-15)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\_profile_timer_hw.c (6223, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\_profile_timer_hw.h (5612, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile_cg.c (3749, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile.h (3388, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\_profile_init.c (2393, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\Makefile (2108, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\mblaze_nt_types.h (1810, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile_hist.c (1526, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile_config.h (1506, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile_mcount_ppc.S (1462, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\profile_mcount_mb.S (1373, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\_profile_clean.c (1153, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\dummy.S (1107, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile\.pnotes (228, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\profile (0, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xpseudo_asm_gcc.h (41344, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xvectors.S (14331, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xtime_l.c (10883, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xcache_l.c (8355, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xpseudo_asm_dcc.h (7676, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xil_printf.c (7630, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xreg405.h (7067, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xexception_l.c (5545, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xexception_l.h (5003, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\Makefile (2372, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xtime_l.h (2190, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xcache_l.h (2172, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\xpseudo_asm.h (1593, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\write.c (1528, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\usleep.c (1503, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\sbrk.c (1494, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\read.c (1439, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\cpu_init.S (1437, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\sleep.c (1418, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\sleep.h (1359, 2008-03-24)
FPIS\ppc405_0\libsrc\standalone_v1_00_a\src\isatty.c (1354, 2008-03-24)
... ...

TABLE OF CONTENTS 1) Peripheral Summary 2) Description of Generated Files 3) Description of Used IPIC Signals 4) Description of Top Level Generics ================================================================================ * 1) Peripheral Summary * ================================================================================ Peripheral Summary: XPS project / EDK repository : F:\XUP\FPIS logical library name : ov7620_v1_00_a top name : ov7620 version : 1.00.a type : OPB slave features : slave attachement mir/rst register interrupt isc user s/w registers Address Block for User Logic and IPIF Predefined Services User logic slave space service : C_BASEADDR + 0x00000000 : C_BASEADDR + 0x000000FF IPIF Reset/MIR service : C_BASEADDR + 0x00000100 : C_BASEADDR + 0x000001FF IPIF interrupt service : C_BASEADDR + 0x00000200 : C_BASEADDR + 0x000002FF ================================================================================ * 2) Description of Generated Files * ================================================================================ - HDL source file(s) F:\XUP\FPIS/pcores/ov7620_v1_00_a/hdl vhdl/ov7620.vhd This is the template file for your peripheral's top design entity. It configures and instantiates the corresponding IPIF unit in the way you indicated in the wizard GUI and hooks it up to the stub user logic where the actual functionalites should get implemented. You are not expected to modify this template file except certain marked places for adding user specific generics and ports. verilog/user_logic.v This is the template file for the stub user logic design entity, either in VHDL or Verilog, where the actual functionalities should get implemented. Some sample code snippet may be provided for demonstration purpose. - XPS interface file(s) F:\XUP\FPIS/pcores/ov7620_v1_00_a/data ov7620_v2_1_0.mpd This Microprocessor Peripheral Description file contains information of the interface of your peripheral, so that other EDK tools can recognize your peripheral. ov7620_v2_1_0.pao This Peripheral Analysis Order file defines the analysis order of all the HDL source files that are used to compile your peripheral. - Driver source file(s) F:\XUP\FPIS/drivers/ov7620_v1_00_a/src ov7620.h This is the software driver header template file, which contains address offset of software addressable registers in your peripheral, as well as some common masks and simple register access macros or function declaration. ov7620.c This is the software driver source template file, to define all applicable driver functions. ov7620_selftest.c This is the software driver self test example file, which contain self test example code to test various hardware features of your peripheral. Makefile This is the software driver makefile to compile drivers. - Driver interface file(s) F:\XUP\FPIS/drivers/ov7620_v1_00_a/data ov7620_v2_1_0.mdd This is the Microprocessor Driver Definition file. ov7620_v2_1_0.tcl This is the Microprocessor Driver Command file. - Other misc file(s) F:\XUP\FPIS/pcores/ov7620_v1_00_a/devl ipwiz.opt This is the option setting file for the wizard batch mode, which should generate the same result as the wizard GUI mode. README.txt This README file for your peripheral. ipwiz.log This is the log file by operating on this wizard. ================================================================================ * 3) Description of Used IPIC Signals * ================================================================================ For more information (usage, timing diagrams, etc.) regarding the IPIC signals used in the templates, please refer to the following specifications (under %XILINX_EDK%\doc for windows or $XILINX_EDK/doc for solaris and linux): proc_ip_ref_guide.pdf - Processor IP Reference Guide (chapter 4 IPIF) user_core_templates_ref_guide.pdf - User Core Templates Reference Guide Bus2IP_Clk This is the clock input to the user logic. All IPIC signals are synchronous to this clock. It is identical to the _Clk signal that is an input to the user core. In an OPB core, Bus2IP_Clk is the same as OPB_Clk, and in a PLB core, it is the same as PLB_Clk. No additional buffering is provided on the clock; it is passed through as is. Bus2IP_Reset Signal to reset the User Logic; asserts whenever the _Rst signal does and, if the Reset block is included, whenever there is a software-programmed reset. IP2Bus_IntrEvent The IP2Bus_IntrEvent bus is an output from the user logic to the IPIF that consists of interrupt event signals to be detected and latched inside the IPIF. Bus2IP_Data This is the data bus from the IPIF to the user logic; it is used for both master and slave transactions. It is used to access user logic registers. Bus2IP_BE The Bus2IP_BE is a bus of Byte Enable qualifiers from the IPIF to the user logic. A bit in the Bus2IP_BE set to '1' indicates that the associated byte lane contains valid data. For example, if Bus2IP_BE = 0011, this indicates that byte lanes 2 and 3 contains valid data. Bus2IP_RdCE The Bus2IP_RdCE bus is an input to the user logic. It is Bus2IP_CE qualified by a read transaction. Bus2IP_WrCE The Bus2IP_WrCE bus is an input to the user logic. It is Bus2IP_CE qualified by a write transaction. IP2Bus_Data This is the data bus from the user logic to the IPIF; it is used for both master and slave transactions. It is used to access user logic registers. IP2Bus_Ack The IP2Bus_Ack signal provide the read/write acknowledgement from the user logic to the IPIF. For writes, it indicates the data has been taken by the user logic. For reads, it indicates that valid data is available. For immediate acknowledgement (such as for a register read/write), this signal can be tied to '1'. Wait states can be inserted in the transaction by delaying the assertion of the acknowledgement. If the IP2Bus_Ack for OPB cores will be delayed more than 8 clocks, then the IP2Bus_ToutSup (timeout suppress) signal must also be asserted to prevent a timeout on the host bus. IP2Bus_Retry IP2Bus_Retry is a response from the user logic to the IPIF that indicates the currently requested transaction cannot be completed at this time and that the requesting master should retry the operation. If the IP2Bus_Retry signal will be delayed more than 8 clocks, then the IP2Bus_ToutSup (timeout suppress) signal must also be asserted to prevent a timeout on the host bus. Note: this signal is unused by PLB IPIF. IP2Bus_Error This signal from the user logic to the IPIF indicates an error has occurred during the current transaction. It is valid when IP2Bus_Ack is asserted. IP2Bus_ToutSup The IP2Bus_ToutSup must be asserted by the user logic whenever its acknowledgement or retry response will take longer than 8 clock cycles. ================================================================================ * 4) Description of Top Level Generics * ================================================================================ C_BASEADDR/C_HIGHADDR These two generics are used to define the memory mapped address space for the peripheral registers, including Reset/MIR register, Interrupt Source Controller registers, Read/Write FIFO control/data registers, user logic software accessible registers and etc., but excluding those user logic address ranges if ever used. When instantiation, the address space size determined by these two generics must be a power of 2 (e.g. 2^k = C_HIGHADDR - C_BASEADDR + 1), a factor of C_BASEADDR and larger than the minimum size as indicated in the template. C_OPB_DWIDTH This is the data bus width for On-chip Peripheral Bus (OPB). It should always be set to 32 as of today. C_OPB_AWIDTH This is the address bus width for On-chip Peripheral Bus (OPB). It should always be set to 32 as of today. C_USER_ID_CODE This is the ID that will be put into the MIR register, it's mainly used for debug purpose to identify the peripheral under test if multiple instances exist in the system. C_FAMILY This is to set the target FPGA architecture, s.t. virtex2, virtex2p, etc.

近期下载者

相关文件


收藏者