Modelsim-System-verilog-calls-DPI

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:19
上传日期:2017-03-01 19:57:20
上 传 者叫我超哥
说明:  本文给出了在Modelsim开发环境下,如何在systemverilog中利用DPI调用C函数的具体方法。
(This paper gives a specific way to call C functions in DPPHs in systemverilog in Modelsim development environment)

文件列表:
modelsim中System verilog 用DPI调用C函数.txt (662, 2017-02-23)

近期下载者

相关文件


收藏者