chufaqi

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1141KB
下载次数:22
上传日期:2017-03-16 14:13:17
上 传 者未来老大
说明:  这是一个用Verilog编写的一个除法器,可以快速的进行除法运算
(This is a a divider, written in Verilog division operation can be quickly)

文件列表:
chufaqi (0, 2017-02-12)
chufaqi\li5_9 (0, 2017-02-11)
chufaqi\li5_9\divider (0, 2017-03-07)
chufaqi\li5_9\divider.v (4554, 2017-02-12)
chufaqi\li5_9\divider\1_area_report.xml (1401, 2017-02-12)
chufaqi\li5_9\divider\1_combined_clk.rpt (813, 2017-02-12)
chufaqi\li5_9\divider\1_errors.txt (0, 2017-02-12)
chufaqi\li5_9\divider\1_hier_area.csv (90, 2017-02-12)
chufaqi\li5_9\divider\1_hier_area_report.xml (656, 2017-02-12)
chufaqi\li5_9\divider\1_notes.txt (593, 2017-02-12)
chufaqi\li5_9\divider\1_opt_report.xml (720, 2017-02-12)
chufaqi\li5_9\divider\1_resourceusage.rpt (954, 2017-02-12)
chufaqi\li5_9\divider\1_runstatus.xml (1269, 2017-02-12)
chufaqi\li5_9\divider\1_timing_report.xml (889, 2017-02-12)
chufaqi\li5_9\divider\1_warnings.txt (126, 2017-02-12)
chufaqi\li5_9\divider\backup (0, 2017-02-12)
chufaqi\li5_9\divider\coreip (0, 2017-02-12)
chufaqi\li5_9\divider\devidertext.cr.mti (535, 2017-02-12)
chufaqi\li5_9\divider\devidertext.mpf (80027, 2017-02-12)
chufaqi\li5_9\divider\divider.bld (1102, 2017-02-12)
chufaqi\li5_9\divider\divider.cmd_log (577, 2017-02-12)
chufaqi\li5_9\divider\divider.edn (75484, 2017-02-12)
chufaqi\li5_9\divider\divider.fse (340, 2017-02-12)
chufaqi\li5_9\divider\divider.gise (14502, 2017-03-07)
chufaqi\li5_9\divider\divider.log (261, 2017-02-12)
chufaqi\li5_9\divider\divider.map (28, 2017-02-12)
chufaqi\li5_9\divider\divider.ncd (34738, 2017-02-12)
chufaqi\li5_9\divider\divider.ncf (0, 2017-02-12)
chufaqi\li5_9\divider\divider.ngd (46032, 2017-02-12)
chufaqi\li5_9\divider\divider.pad (20188, 2017-02-12)
chufaqi\li5_9\divider\divider.par (8061, 2017-02-12)
chufaqi\li5_9\divider\divider.pcf (216, 2017-02-12)
chufaqi\li5_9\divider\divider.prj (1793, 2017-02-12)
chufaqi\li5_9\divider\divider.ptwx (17224, 2017-02-12)
chufaqi\li5_9\divider\divider.sap (1335, 2017-02-12)
chufaqi\li5_9\divider\divider.sdc (0, 2017-02-12)
chufaqi\li5_9\divider\divider.srd (12458, 2017-02-12)
chufaqi\li5_9\divider\divider.srl (4535, 2017-02-12)
chufaqi\li5_9\divider\divider.srm (19174, 2017-02-12)
chufaqi\li5_9\divider\divider.srr (14210, 2017-02-12)
... ...

近期下载者

相关文件


收藏者