E8_1_RS232

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:745KB
下载次数:4
上传日期:2017-06-28 22:08:29
上 传 者lionsde
说明:  VHDL编写的RS232串口通讯代码,可以使用。
(VHDL prepared by the RS232 serial communication code, you can use.)

文件列表:
E8_1_RS232\.recordref (0, 2013-03-18)
E8_1_RS232\automake.log (0, 2013-03-18)
E8_1_RS232\clock.edn (77821, 2013-03-18)
E8_1_RS232\clock.fse (0, 2013-03-18)
E8_1_RS232\clock.ncf (246, 2013-03-18)
E8_1_RS232\clock.prj (1705, 2013-03-18)
E8_1_RS232\clock.sdc (0, 2013-03-18)
E8_1_RS232\clock.srd (29361, 2013-03-18)
E8_1_RS232\clock.srm (37467, 2013-03-18)
E8_1_RS232\clock.srr (6913, 2013-03-18)
E8_1_RS232\clock.srs (4530, 2013-03-18)
E8_1_RS232\clock.vhd (2384, 2013-03-18)
E8_1_RS232\clock.vtc (1749, 2013-03-18)
E8_1_RS232\clock_compile.tcl (18, 2013-03-18)
E8_1_RS232\clock_map.tcl (14, 2013-03-18)
E8_1_RS232\layer0.sro (14086, 2013-03-18)
E8_1_RS232\layer0.tlg (6402, 2013-03-18)
E8_1_RS232\lec\clock.vlc (73, 2013-03-18)
E8_1_RS232\lec\clock.vmc (82, 2013-03-18)
E8_1_RS232\lec\clock.vsc (100, 2013-03-18)
E8_1_RS232\lec\Rec.vlc (73, 2013-03-18)
E8_1_RS232\lec\Rec.vmc (82, 2013-03-18)
E8_1_RS232\lec\Rec.vsc (100, 2013-03-18)
E8_1_RS232\lec\RS232.vlc (73, 2013-03-18)
E8_1_RS232\lec\RS232.vmc (82, 2013-03-18)
E8_1_RS232\lec\RS232.vsc (143, 2013-03-18)
E8_1_RS232\lec\Tra.vlc (73, 2013-03-18)
E8_1_RS232\lec\Tra.vmc (82, 2013-03-18)
E8_1_RS232\lec\Tra.vsc (100, 2013-03-18)
E8_1_RS232\pepExtractor.prj (68, 2013-03-18)
E8_1_RS232\rec.edn (33901, 2013-03-18)
E8_1_RS232\rec.fse (0, 2013-03-18)
E8_1_RS232\rec.ncf (246, 2013-03-18)
E8_1_RS232\rec.prj (1691, 2013-03-18)
E8_1_RS232\rec.sdc (0, 2013-03-18)
E8_1_RS232\rec.srd (13261, 2013-03-18)
E8_1_RS232\rec.srm (19336, 2013-03-18)
E8_1_RS232\rec.srr (13047, 2013-03-18)
E8_1_RS232\rec.srs (6094, 2013-03-18)
E8_1_RS232\Rec.vhd (3055, 2013-03-18)
... ...

近期下载者

相关文件


收藏者