cordic

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:7
上传日期:2017-07-18 11:10:31
上 传 者chen_zc
说明:  使用verlog语音实现cordic 算法,在DE2 115平台上已验证。
(Implementation cordic algorithm)

文件列表:
cordic (0, 2016-11-10)
cordic\adder.v (483, 2016-11-06)
cordic\cordic.v (9511, 2016-11-06)
cordic\cordic_tb.v (244, 2016-11-06)
cordic\dds_top.v (394, 2016-11-06)

近期下载者

相关文件


收藏者