apb

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:1KB
下载次数:25
上传日期:2017-08-22 16:04:06
上 传 者zxppppppppp
说明:  APB 总线。可以实现单个数据在总机与从机之间的读写功能
(This can achieve the read and write functions of a single data between the master and the slave .)

文件列表:
apb.v (942, 2017-08-07)
apb_br.v (2269, 2017-08-09)

近期下载者

相关文件


收藏者