caideng

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:283KB
下载次数:8
上传日期:2011-04-24 09:16:48
上 传 者栗子树
说明:  霓虹灯控制器,用VHDL实现彩灯的控制,可以实现摇摆状态、暗点循环、逐个点亮逐个熄灭
(Neon controller to control lights with the VHDL implementation, can swing state, dark spots cycle-by-light one by one off)

文件列表:
caideng\caideng.asm.rpt (6570, 2009-12-07)
caideng\caideng.bdf (5944, 2009-12-07)
caideng\caideng.cdf (344, 2009-12-07)
caideng\caideng.done (26, 2009-12-07)
caideng\caideng.dpf (239, 2009-12-07)
caideng\caideng.fit.rpt (78805, 2009-12-07)
caideng\caideng.fit.summary (313, 2009-12-07)
caideng\caideng.flow.rpt (4377, 2009-12-07)
caideng\caideng.map.rpt (39026, 2009-12-07)
caideng\caideng.map.summary (249, 2009-12-07)
caideng\caideng.pin (13744, 2009-12-07)
caideng\caideng.pof (7998, 2009-12-07)
caideng\caideng.qpf (911, 2008-12-10)
caideng\caideng.qsf (2340, 2009-12-07)
caideng\caideng.qws (1422, 2009-12-07)
caideng\caideng.sim.rpt (14517, 2008-12-10)
caideng\caideng.tan.rpt (83483, 2009-12-07)
caideng\caideng.tan.summary (1287, 2009-12-07)
caideng\caideng.vwf (5860, 2008-12-10)
caideng\fenpinqi.bsf (1776, 2008-12-10)
caideng\fenpinqi.vhd (815, 2008-12-10)
caideng\fenpinqi.vhd.bak (806, 2008-12-10)
caideng\fp.bsf (1599, 2009-12-07)
caideng\fp.vhd (520, 2009-12-07)
caideng\fp.vhd.bak (488, 2009-12-07)
caideng\xskz.bsf (1774, 2008-12-10)
caideng\xskz.vhd (1490, 2009-12-07)
caideng\xskz.vhd.bak (1490, 2008-12-10)
caideng\db\add_sub_7ph.tdf (3893, 2009-12-07)
caideng\db\add_sub_pnh.tdf (2747, 2008-12-10)
caideng\db\add_sub_qnh.tdf (2904, 2008-12-10)
caideng\db\add_sub_rnh.tdf (3061, 2008-12-10)
caideng\db\caideng.(0).cnf.cdb (855, 2009-12-07)
caideng\db\caideng.(0).cnf.hdb (598, 2009-12-07)
caideng\db\caideng.(1).cnf.cdb (2698, 2009-12-07)
caideng\db\caideng.(1).cnf.hdb (620, 2009-12-07)
caideng\db\caideng.(10).cnf.cdb (574, 2008-12-10)
caideng\db\caideng.(10).cnf.hdb (448, 2008-12-10)
caideng\db\caideng.(11).cnf.cdb (496, 2008-12-10)
caideng\db\caideng.(11).cnf.hdb (442, 2008-12-10)
... ...

近期下载者

相关文件


收藏者