同步FIFO设计
fifo 

所属分类:其他
开发工具:Verilog
文件大小:262KB
下载次数:2
上传日期:2017-09-08 15:10:40
上 传 者见到过的都是
说明:  First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。
(Classic synchronous FIFO design)

文件列表:
同步FIFO设计.doc (330752, 2016-07-20)

近期下载者

相关文件


收藏者