2F

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:13KB
下载次数:1
上传日期:2017-10-16 07:36:00
上 传 者Brader
说明:  testing testbench to device under test (dut)

文件列表:
2F\modelsim.ini (11079, 2017-10-02)
2F\modul2vhdl.vhd (317, 2017-10-02)
2F\testbench.dut (804, 2017-10-02)
2F\testbench.vhd (804, 2017-10-02)
2F\vsim.wlf (32768, 2017-10-02)
2F\work\modul2vhdl\behavioral.dat (168, 2017-10-02)
2F\work\modul2vhdl\behavioral.dbs (677, 2017-10-02)
2F\work\modul2vhdl\behavioral.prw (907, 2017-10-02)
2F\work\modul2vhdl\behavioral.psm (4104, 2017-10-02)
2F\work\modul2vhdl\_primary.dat (226, 2017-10-02)
2F\work\modul2vhdl\_primary.dbs (504, 2017-10-02)
2F\work\tb_modul2vhdl\behavioral.dat (585, 2017-10-02)
2F\work\tb_modul2vhdl\behavioral.dbs (1401, 2017-10-02)
2F\work\tb_modul2vhdl\behavioral.prw (1070, 2017-10-02)
2F\work\tb_modul2vhdl\behavioral.psm (5464, 2017-10-02)
2F\work\tb_modul2vhdl\_primary.dat (151, 2017-10-02)
2F\work\tb_modul2vhdl\_primary.dbs (320, 2017-10-02)
2F\work\_info (1023, 2017-10-02)
2F\work\_vmake (26, 2017-10-02)
2F\work\modul2vhdl (0, 2017-10-02)
2F\work\tb_modul2vhdl (0, 2017-10-02)
2F\work\_temp (0, 2017-10-02)
2F\work (0, 2017-10-02)
2F (0, 2017-10-02)

近期下载者

相关文件


收藏者