帧同步

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:23KB
下载次数:10
上传日期:2017-12-31 17:57:48
上 传 者羽霜梦琳
说明:  这是一个可以实现帧同步的编码,应用verilog编码
(This is a coding that can implement frame synchronization, using Verilog coding)

文件列表:
frame_sync\work\_info (470, 2006-03-20)
frame_sync\work\frame\_primary.vhd (400, 2006-03-20)
frame_sync\work\frame\verilog.asm (16837, 2006-03-20)
frame_sync\work\frame\_primary.dat (1488, 2006-03-20)
frame_sync\work\frame (0, 2006-03-20)
frame_sync\work\test_frame\_primary.vhd (80, 2006-03-20)
frame_sync\work\test_frame\verilog.asm (17874, 2006-03-20)
frame_sync\work\test_frame\_primary.dat (2975, 2006-03-20)
frame_sync\work\test_frame (0, 2006-03-20)
frame_sync\work (0, 2006-03-20)
frame_sync\frame.v (1969, 2006-03-20)
frame_sync\test_frame.v (3704, 2006-03-20)
frame_sync\vsim.wlf (32768, 2006-03-20)
frame_sync\frame.mpf (17054, 2006-03-20)
frame_sync\frame.cr.mti (545, 2006-03-20)
frame_sync\transcript (493, 2006-03-21)
frame_sync (0, 2006-03-19)

近期下载者

相关文件


收藏者