GNSS-VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:88KB
下载次数:0
上传日期:2018-01-03 20:46:19
上 传 者sh-1993
说明:  用于VHDL的GNSS代码和信号生成。GPS(L1 C A、L5)、伽利略(E1OS、E5)。包括Xilinx ISE测试台和wa...
(GNSS codes and signal generation for VHDL. GPS (L1 C/A, L5), Galileo (E1OS, E5). Includes Xilinx ISE testbench and wave configuration files.)

文件列表:
GNSS-VHDL.xise (40754, 2018-01-04)
GNSS_prn (0, 2018-01-04)
GNSS_prn\E1_generator.vhd (5624, 2018-01-04)
GNSS_prn\E1_generator_tb.vhd (3423, 2018-01-04)
GNSS_prn\E5_component_generator.vhd (3811, 2018-01-04)
GNSS_prn\E5_generator.vhd (12286, 2018-01-04)
GNSS_prn\E5_generator_tb.vhd (2571, 2018-01-04)
GNSS_prn\L1_CA_generator.vhd (7334, 2018-01-04)
GNSS_prn\L1_CA_generator_tb.vhd (3637, 2018-01-04)
GNSS_prn\L5_generator.vhd (9909, 2018-01-04)
GNSS_prn\L5_generator_tb.vhd (3390, 2018-01-04)
GNSS_signal (0, 2018-01-04)
GNSS_signal\E1OS_signal_generator.vhd (6973, 2018-01-04)
GNSS_signal\E1OS_signal_generator_tb.vhd (5082, 2018-01-04)
GNSS_signal\E1OS_signal_generator_wave.wcfg (11182, 2018-01-04)
GNSS_signal\E5_signal_generator.vhd (12007, 2018-01-04)
GNSS_signal\E5_signal_generator_tb.vhd (7833, 2018-01-04)
GNSS_signal\E5_signal_generator_wave.wcfg (21188, 2018-01-04)
coe_files (0, 2018-01-04)
coe_files\PRN_E1B.coe (331840, 2018-01-04)
coe_files\PRN_E1C.coe (331840, 2018-01-04)
ipcores (0, 2018-01-04)
ipcores\PRN_E1B.xco (3176, 2018-01-04)
ipcores\PRN_E1C.xco (3176, 2018-01-04)
others (0, 2018-01-04)
others\LFSR_generator.vhd (5242, 2018-01-04)

GNSS codes and signal generation for VHDL. GPS (L1 C/A, L5), Galileo (E1OS, E5). Includes Xilinx ISE testbench and wave configuration files.

近期下载者

相关文件


收藏者