keypad_usb

所属分类:其他
开发工具:C/C++
文件大小:6051KB
下载次数:6
上传日期:2018-01-06 22:44:29
上 传 者南京石头
说明:  STM32 Key CH374 spi3

文件列表:
keypad_usb\keypad\Application\main.c (5077, 2018-01-06)
keypad_usb\keypad\Application\stm32f10x_conf.h (3236, 2011-04-04)
keypad_usb\keypad\Application\stm32f10x_it.c (4421, 2017-11-13)
keypad_usb\keypad\Application\stm32f10x_it.h (1983, 2011-04-04)
keypad_usb\keypad\Application\system_stm32f10x.c (36577, 2011-04-04)
keypad_usb\keypad\Config.xml (214, 2017-11-13)
keypad_usb\keypad\DebugConfig\key_STM32F103VE_1.0.0.dbgconf (6956, 2015-12-10)
keypad_usb\keypad\DebugConfig\Target_1_STM32F103VE_1.0.0.dbgconf (6956, 2015-12-10)
keypad_usb\keypad\Driver\CH374\CH374HFM.H (48477, 2017-11-14)
keypad_usb\keypad\Driver\CH374\CH374HFM.LIB (33070, 2014-09-09)
keypad_usb\keypad\Driver\CH374\EXAM1\CH374HFT.C (19543, 2007-09-20)
keypad_usb\keypad\Driver\CH374\EXAM1\PARA.C (1946, 2007-09-20)
keypad_usb\keypad\Driver\CH374\EXAM1\SPI.C (1851, 2007-09-20)
keypad_usb\keypad\Driver\ch374.c (8467, 2018-01-04)
keypad_usb\keypad\Driver\I2C_Driver.c (3847, 2017-11-13)
keypad_usb\keypad\Driver\I2C_Driver.h (941, 2017-11-13)
keypad_usb\keypad\Driver\tca8148.c (2506, 2017-11-13)
keypad_usb\keypad\Driver\tca8148.h (796, 2017-11-13)
keypad_usb\keypad\JLinkLog.txt (911881, 2018-01-06)
keypad_usb\keypad\JLinkSettings.ini (755, 2017-11-13)
keypad_usb\keypad\Keil Project To Visual Studio Project.exe (452096, 2014-12-14)
keypad_usb\keypad\keypad.uvgui.Administrator (140556, 2018-01-06)
keypad_usb\keypad\keypad.uvguix.FlyM (169563, 2017-11-14)
keypad_usb\keypad\keypad.uvgui_Administrator.bak (139928, 2018-01-04)
keypad_usb\keypad\keypad.uvopt (22533, 2018-01-06)
keypad_usb\keypad\keypad.uvoptx (21296, 2017-11-14)
keypad_usb\keypad\keypad.uvproj (22593, 2018-01-04)
keypad_usb\keypad\keypad_key.dep (79908, 2018-01-06)
keypad_usb\keypad\keypad_uvopt.bak (22502, 2018-01-04)
keypad_usb\keypad\keypad_uvproj.bak (22593, 2017-12-31)
keypad_usb\keypad\Libraries\CMSIS\CM3\CoreSupport\core_cm3.c (17273, 2010-06-07)
keypad_usb\keypad\Libraries\CMSIS\CM3\CoreSupport\core_cm3.h (85714, 2011-02-09)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\Release_Notes.html (26297, 2011-03-14)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_cl.s (15766, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_hd.s (15503, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_hd_vl.s (15692, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_ld.s (12376, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_ld_vl.s (13656, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_md.s (12765, 2011-03-10)
keypad_usb\keypad\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm\startup_stm32f10x_md_vl.s (14073, 2011-03-10)
... ...

======================================================================== 生成文件项目:keypad 项目概述 ======================================================================== 本文件概要介绍组成 keypad 项目的每个文件的内容。 keypad.sln 这是keypad项目的解决方案文件 keypad.vcxproj 这是keypad项目的主项目文件 其中包含了这个项目中的各个Target, 以及Include Path、所有源文件的路径、编译命令。 keypad.vcxproj.filters 这是keypad项目的项目筛选器文件。 它包含了这个项目中的所有源文件分组及源文件的路径。 keypad.vcxproj.user 这是keypad项目的 用户文件, 它包含了这个项目中的各个Target的 Debug命令。 以上文件由MDK Project To Visual Studio Project 工具读取 Keil uVision4 的项目文件:keypad.uvproj 中的设定,按照Visual Studio 2010 中VC++ “生成文件项目” 的模板文件来生成的,如有疑问,请看MSDN~ 2012-6-18 Ka_Chen /////////////////////////////////////////////////////////////////////////////

近期下载者

相关文件


收藏者