Vivado入门与提高Demo(一)(含源文件)

所属分类:VHDL/FPGA/Verilog
开发工具:HTML
文件大小:3893KB
下载次数:22
上传日期:2018-03-12 20:59:04
上 传 者钢蛋233
说明:  vivado学习一本通,让你完全掌握vivado的常用功能
(Let you fully master the common functions of VIVADO)

文件列表:
full_design_flow.tcl (952, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_axi_read_fsm.vhd (83879, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_axi_read_wrapper.vhd (57813, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_axi_regs_fwd.vhd (9545, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_axi_write_fsm.vhd (61464, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_axi_write_wrapper.vhd (66283, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_bindec.vhd (10218, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_ecc_decoder.vhd (24873, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_ecc_encoder.vhd (20893, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_generic_cstr.vhd (120556, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_getinit_pkg.vhd (54741, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_mux.vhd (91985, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_prim_width.vhd (70607, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_prim_wrapper_v6.vhd (1006648, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_prim_wrapper_v6_init.vhd (605640, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_top.vhd (71839, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_v8_0.vhd (19382, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_v8_0_defaults.vhd (32589, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_v8_0_pkg.vhd (123409, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_v8_0_synth.vhd (160589, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_gen_v8_0_synth_comp.vhd (18409, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_input_block.vhd (45404, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_min_area_pkg.vhd (20310, 2013-11-04)
ip\char_fifo\blk_mem_gen_v8_0\blk_mem_output_block.vhd (17222, 2013-11-04)
ip\char_fifo\char_fifo\char_fifo.xdc (3081, 2013-11-04)
ip\char_fifo\char_fifo\char_fifo_clocks.xdc (3490, 2013-11-04)
ip\char_fifo\char_fifo.dcp (81296, 2013-11-04)
ip\char_fifo\char_fifo.xci (50952, 2013-11-04)
ip\char_fifo\char_fifo.xml (544549, 2013-11-04)
ip\char_fifo\char_fifo_funcsim.v (158112, 2013-11-04)
ip\char_fifo\char_fifo_funcsim.vhdl (232763, 2013-11-04)
ip\char_fifo\char_fifo_ooc.xdc (2717, 2013-11-04)
ip\char_fifo\char_fifo_stub.v (1338, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\bin_cntr.vhd (8597, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_extdepth.vhd (80613, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_extdepth_low_latency.vhd (43742, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_extdepth_v6.vhd (50137, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_prim.vhd (32350, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_prim_v6.vhd (37128, 2013-11-04)
ip\char_fifo\fifo_generator_v11_0\builtin\builtin_top.vhd (47568, 2013-11-04)
... ...

近期下载者

相关文件


收藏者