VHDL简易电子琴设计(1).doc

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:122KB
下载次数:3
上传日期:2018-03-16 21:14:57
上 传 者找你呢
说明:  这是一个简单的基于vhdl的电子琴,有自动播放和使用按键来制作不同的音色。
(The design of a simple electronic organ based on VHDL, with the automatic playing and the use of keystrokes to make different tones)

文件列表:
VHDL简易电子琴设计(1).doc (205824, 2018-03-16)

近期下载者

相关文件


收藏者