异步FIFO

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:2KB
下载次数:11
上传日期:2018-03-17 15:25:21
上 传 者大黄黄黄
说明:  自己编写的同步和异步FIFO的verilog代码,验证过,有可靠性
(Verilog code of my own synchronous and asynchronous FIFO, verified,and reliable.)

文件列表:
FIFO_RD.v (350, 2017-11-01)
FIFO_STATUS.v (648, 2017-11-01)
FIFO_WR.v (342, 2017-11-01)
RD_ptr_empty.v (884, 2017-11-25)
Sync_RD.v (367, 2017-11-25)
Sync_WR.v (365, 2017-11-25)
WR_ptr_full.v (920, 2017-11-25)

近期下载者

相关文件


收藏者