8.6 DAC0832 接口电路程序

所属分类:其他
开发工具:VHDL
文件大小:3KB
下载次数:1
上传日期:2018-03-20 22:10:56
上 传 者少年高飞
说明:  8.6 DAC0832 接口电路程序,DAC0832VHDL程序与仿真,功能:产生频率为762.9Hz的锯齿波
(8.6 DAC0832 interface circuit program, DAC0832VHDL program and simulation, function: producing saw tooth wave with frequency of 762.9Hz)

文件列表:
8.6 DAC0832 接口电路程序.doc (21504, 2010-03-28)

近期下载者

相关文件


收藏者