经典游戏俄罗斯方块的FPGA实现

所属分类:其他
开发工具:Verilog
文件大小:4800KB
下载次数:10
上传日期:2018-04-22 09:57:38
上 传 者Hereucheu
说明:  实现俄罗斯方块游戏的FPGA实现,实现可视化功能
(Use FPGA to create a Tetris game)

文件列表:
Tetris\archive_project_summary.txt (4740, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\activehdl (0, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\ies (0, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\modelsim (0, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\questa (0, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\riviera (0, 2016-10-28)
Tetris\Tetris.cache\compile_simlib\vcs (0, 2016-10-28)
Tetris\Tetris.cache\wt\java_command_handlers.wdf (1077, 2016-10-28)
Tetris\Tetris.cache\wt\project.wpc (77, 2016-10-28)
Tetris\Tetris.cache\wt\synthesis.wdf (5238, 2016-10-28)
Tetris\Tetris.cache\wt\synthesis_details.wdf (100, 2016-10-28)
Tetris\Tetris.cache\wt\webtalk_pa.xml (1675, 2016-10-28)
Tetris\Tetris.cache\wt\xsim.wdf (256, 2015-11-17)
Tetris\Tetris.hw\hw_1\hw.xml (927, 2016-10-28)
Tetris\Tetris.hw\hw_1\wave (0, 2016-10-28)
Tetris\Tetris.hw\Tetris.lpr (343, 2016-10-28)
Tetris\Tetris.hw\webtalk\.xsim_webtallk.info (59, 2016-10-28)
Tetris\Tetris.hw\webtalk\labtool_webtalk.log (373, 2016-10-28)
Tetris\Tetris.hw\webtalk\usage_statistics_ext_labtool.html (2948, 2016-10-28)
Tetris\Tetris.hw\webtalk\usage_statistics_ext_labtool.xml (2550, 2016-10-28)
Tetris\Tetris.ipdefs (0, 2016-10-28)
Tetris\Tetris.ip_user_files (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.init_design.begin.rst (179, 2016-10-28)
Tetris\Tetris.runs\impl_2\.init_design.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.opt_design.begin.rst (179, 2016-10-28)
Tetris\Tetris.runs\impl_2\.opt_design.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.place_design.begin.rst (179, 2016-10-28)
Tetris\Tetris.runs\impl_2\.place_design.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.route_design.begin.rst (179, 2016-10-28)
Tetris\Tetris.runs\impl_2\.route_design.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.vivado.begin.rst (178, 2016-10-28)
Tetris\Tetris.runs\impl_2\.vivado.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.Vivado_Implementation.queue.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.write_bitstream.begin.rst (179, 2016-10-28)
Tetris\Tetris.runs\impl_2\.write_bitstream.end.rst (0, 2016-10-28)
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\.lpr (290, 2016-10-28)
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\dcp.xml (735, 2015-11-18)
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.edf (7293676, 2015-11-18)
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.incr (112, 2015-11-18)
Tetris\Tetris.runs\impl_2\.Xil\Vivado-6184-sh-dig-yhlong\dcp\tetris.psr (0, 2015-11-18)
... ...

近期下载者

相关文件


收藏者