Verilog实例代码

所属分类:文章/文档
开发工具:Verilog
文件大小:107KB
下载次数:2
上传日期:2018-05-09 21:52:38
上 传 者会反光
说明:  一些实例代码,如果你想去学习Verilog这种编程语言,这有几段很好的代码,以供参考。
(Some examples code, if you want to learn Verilog programming language, there are several very good code for reference.)

文件列表:
Verilog实例代码.pdf (404560, 2018-05-09)

近期下载者

相关文件


收藏者