简易电子琴的VHDL设计

所属分类:嵌入式/单片机/硬件编程
开发工具:VHDL
文件大小:18KB
下载次数:1
上传日期:2018-06-20 15:09:09
上 传 者微之沙粒
说明:  用VHDL设计8键简易电子琴,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。
(design a piano with VHDL)

文件列表:
简易电子琴的VHDL设计.docx (20943, 2017-11-11)

近期下载者

相关文件


收藏者