基于fpga的自动售货机

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:249KB
下载次数:29
上传日期:2018-06-25 22:18:06
上 传 者火我是谁
说明:  用verilog状态机实现的自动售货机,是一次课程作业,参考了网上的例子进行了修改
(Automatic vending machine implemented with Verilog state machine)

文件列表:
基于fpga的自动售货机.docx (262385, 2018-06-25)

近期下载者

相关文件


收藏者