CPU

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8KB
下载次数:2
上传日期:2018-06-30 15:52:02
上 传 者wsjh
说明:  简单CPU的代码实现,读取数据,包含hazard解决冲突部分和板级验证结果
(Simple CPU code implementation, reading data, including hazard to resolve conflicts and board level validation results)

文件列表:
新建文件夹 (0, 2018-06-30)
新建文件夹\coe (0, 2018-06-25)
新建文件夹\coe\add64bimem.coe (290, 2018-06-25)
新建文件夹\coe\bubbleimem.coe (383, 2018-06-25)
新建文件夹\coe\dmem.coe (115, 2018-06-09)
新建文件夹\coe\gcmimem.coe (417, 2018-06-25)
新建文件夹\coe\imem.coe (1744, 2018-06-11)
新建文件夹\coe\sortimem.coe (304, 2018-06-25)
新建文件夹\mycpu.v (17171, 2018-06-26)
新建文件夹\mycpu.xdc (6526, 2018-06-26)
新建文件夹\processor.v (11138, 2018-06-26)

近期下载者

相关文件


收藏者