rtl

所属分类:单片机开发
开发工具:Verilog
文件大小:78KB
下载次数:0
上传日期:2018-08-10 14:28:44
上 传 者113456圈圈
说明:  acc,8051的acc Verilog代码
(8051 acc Verilog code)

文件列表:
rtl\verilog\oc8051_acc.v (5670, 2003-07-02)
rtl\verilog\oc8051_alu.v (11661, 2003-07-02)
rtl\verilog\oc8051_alu_src_sel.v (5332, 2003-07-02)
rtl\verilog\oc8051_alu_test.v (10881, 2002-11-14)
rtl\verilog\oc8051_b_register.v (4162, 2003-04-10)
rtl\verilog\oc8051_cache_ram.v (5650, 2003-04-02)
rtl\verilog\oc8051_comp.v (4731, 2003-07-02)
rtl\verilog\oc8051_cy_select.v (4156, 2003-07-02)
rtl\verilog\oc8051_decoder.v (102530, 2003-07-02)
rtl\verilog\oc8051_defines.v (19837, 2003-07-02)
rtl\verilog\oc8051_divide.v (4221, 2003-04-02)
rtl\verilog\oc8051_dptr.v (4865, 2003-04-10)
rtl\verilog\oc8051_icache.v (10777, 2003-07-02)
rtl\verilog\oc8051_indi_addr.v (5217, 2003-07-02)
rtl\verilog\oc8051_int.v (11489, 2003-07-02)
rtl\verilog\oc8051_memory_interface.v (33288, 2003-07-02)
rtl\verilog\oc8051_multiply.v (3809, 2003-04-02)
rtl\verilog\oc8051_ports.v (6548, 2003-07-02)
rtl\verilog\oc8051_psw.v (5808, 2003-07-02)
rtl\verilog\oc8051_ram_256x8_two_bist.v (5668, 2003-06-20)
rtl\verilog\oc8051_ram_64x32_dual_bist.v (5913, 2003-06-20)
rtl\verilog\oc8051_ram_top.v (7855, 2003-06-20)
rtl\verilog\oc8051_rom.v (126332, 2003-07-02)
rtl\verilog\oc8051_sfr.v (17642, 2003-07-02)
rtl\verilog\oc8051_sp.v (4644, 2003-04-10)
rtl\verilog\oc8051_tc.v (7799, 2003-07-02)
rtl\verilog\oc8051_tc2.v (7595, 2003-04-07)
rtl\verilog\oc8051_timescale.v (25, 2002-07-29)
rtl\verilog\oc8051_top.v (19452, 2003-07-02)
rtl\verilog\oc8051_uart.v (10287, 2003-07-02)
rtl\verilog\oc8051_wb_iinterface.v (5009, 2003-05-05)
rtl\verilog\read.me (95, 2002-07-29)
rtl\verilog (0, 2018-08-07)
rtl (0, 2018-08-07)

近期下载者

相关文件


收藏者