SCAN_4X4KEY

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:63KB
下载次数:0
上传日期:2018-11-17 20:04:28
上 传 者now_meng
说明:  基于fpga的4*4扫描键盘设计,采用VHDL语言,供初学者参考
(Fpga - based 4*4 scan keyboard design, using VHDL language, for beginners reference)

文件列表:
EP6_SCAN_4X4KEY (0, 2015-06-02)
EP6_SCAN_4X4KEY\DECL7S.bsf (1607, 2010-12-04)
EP6_SCAN_4X4KEY\DECL7S.vhd (988, 2010-12-04)
EP6_SCAN_4X4KEY\K4X4.bsf (1966, 2010-12-04)
EP6_SCAN_4X4KEY\K4X4.v (782, 2010-12-04)
EP6_SCAN_4X4KEY\K4X4K.bdf (7205, 2010-12-04)
EP6_SCAN_4X4KEY\K4X4K.dpf (239, 2010-12-10)
EP6_SCAN_4X4KEY\K4X4K.fit.smsg (513, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.fit.summary (603, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.map.smsg (125, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.map.summary (453, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.pin (59076, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.qpf (1267, 2010-12-04)
EP6_SCAN_4X4KEY\K4X4K.qsf (4089, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.qws (555, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.sof (1839589, 2012-08-20)
EP6_SCAN_4X4KEY\K4X4K.sta.summary (957, 2012-08-20)
EP6_SCAN_4X4KEY\KEY4X4.fit.smsg (513, 2010-05-24)
EP6_SCAN_4X4KEY\KEY4X4.fit.summary (596, 2010-05-24)
EP6_SCAN_4X4KEY\KEY4X4.map.summary (662, 2010-12-10)
EP6_SCAN_4X4KEY\KEY4X4.pin (20792, 2010-05-24)
EP6_SCAN_4X4KEY\KEY4X4.qsf (2836, 2010-12-10)
EP6_SCAN_4X4KEY\KEY4X4.qws (175, 2010-12-10)
EP6_SCAN_4X4KEY\KEY4X4.sta.summary (670, 2010-05-24)
EP6_SCAN_4X4KEY\PLL20.bsf (2998, 2010-12-04)
EP6_SCAN_4X4KEY\PLL20.ppf (354, 2010-12-04)
EP6_SCAN_4X4KEY\PLL20.qip (354, 2010-12-04)
EP6_SCAN_4X4KEY\PLL20.vhd (15208, 2010-12-04)

近期下载者

相关文件


收藏者