按键计数器

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:13KB
下载次数:3
上传日期:2018-11-30 22:06:12
上 传 者我爱傅立叶
说明:  本代码为verilog语言编写的按键计数器代码,适用于FPGA小脚丫开发版
(Key counter of Beijing University of Posts and Telecommunications)

文件列表:
按键计数器.docx (16713, 2018-11-28)

近期下载者

相关文件


收藏者