Booth乘法器

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:12
上传日期:2018-12-07 21:54:00
上 传 者forget12345
说明:  4位booth算法乘法器,用Verilog编写
(4-bit Booth algorithm multiplier)

文件列表:
mul_4_tb.v (343, 2018-10-31)
mul_4.v (759, 2018-10-31)
string10010.v (1169, 2018-11-03)
string10010_tb.v (332, 2018-11-03)

近期下载者

相关文件


收藏者