apb_timer.tar

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:66KB
下载次数:6
上传日期:2019-01-25 16:54:02
上 传 者megmand
说明:  是基于apb总线下的timer外设的rtl代码,主要包括apb_timer的master逻辑verilog,以及相应的开发文档,包括寄存器的描述,功能特性等。
(RTL code is based on timer peripheral under APB bus, which mainly includes master logic Verilog of apb_timer and corresponding development documents, including the description of registers, functional characteristics and so on.)

文件列表:
0ac6bf9be5f4f8397300f27dc9bdb922 (430080, 2018-10-24)

近期下载者

相关文件


收藏者