uvm-1.1d

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:3850KB
下载次数:6
上传日期:2019-02-20 18:08:28
上 传 者团子君
说明:  UVM1.1d 环境 测试仿真实验labs
(UVM1.1d environment test simulation Labs)

文件列表:
uvm-1.1d (0, 2019-01-29)
uvm-1.1d\bin (0, 2019-01-29)
uvm-1.1d\bin\add_uvm_object_new.pl (8884, 2013-03-08)
uvm-1.1d\bin\ovm2uvm.pl (14900, 2013-03-08)
uvm-1.1d\bin\uvm_dpi_name (1621, 2013-03-08)
uvm-1.1d\bin\uvm_os_name (49, 2013-03-08)
uvm-1.1d\docs (0, 2019-01-29)
uvm-1.1d\docs\html (0, 2019-01-29)
uvm-1.1d\docs\html\files (0, 2019-01-29)
uvm-1.1d\docs\html\files\base (0, 2019-01-29)
uvm-1.1d\docs\html\files\base\uvm_barrier-svh.html (16167, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_bottomup_phase-svh.html (10581, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_callback-svh.html (59186, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_cmdline_processor-svh.html (38321, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_common_phases-svh.html (47746, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_comparer-svh.html (34462, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_component-svh.html (251675, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_config_db-svh.html (26811, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_domain-svh.html (14545, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_event-svh.html (31459, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_event_callback-svh.html (12389, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_factory-svh.html (76102, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_globals-svh.html (50140, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_heartbeat-svh.html (17452, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_misc-svh.html (8463, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_object-svh.html (102483, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_objection-svh.html (76018, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_object_globals-svh.html (57959, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_packer-svh.html (36180, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_phase-svh.html (65191, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_pool-svh.html (39336, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_port_base-svh.html (60223, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_printer-svh.html (73428, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_queue-svh.html (20287, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_recorder-svh.html (27779, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_registry-svh.html (47005, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_report_catcher-svh.html (61117, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_report_handler-svh.html (24168, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_report_object-svh.html (96876, 2013-03-08)
uvm-1.1d\docs\html\files\base\uvm_report_server-svh.html (37702, 2013-03-08)
... ...

Accellera Universal Verification Methodology version 1.1c (C) Copyright 2007-2012 Mentor Graphics Corporation (C) Copyright 2007-2012 Cadence Design Systems, Incorporated (C) Copyright 2006-2012 Synopsys Inc. (C) Copyright 2012 Cypress Semiconductor Corp. All Rights Reserved Worldwide The UVM kit is licensed under the Apache-2.0 license. The full text of the licese is provided in this kit in the file LICENSE.txt Installing the kit ------------------ Installation of UVM requires first unpacking the kit in a convenient location. % mkdir path/to/convenient/location % cd path/to/convenient/location % gunzip -c path/to/UVM/distribution/tar.gz | tar xvf - You should define the $UVM_HOME environment variable to that convenient location using an absolute path name. The following instructions assume that this variable is appropriately set. % setenv UVM_HOME /absolute/path/to/convenient/location You must then obtain from your SystemVerilog tool vendor a tool-specific distribution overlay. That overlay may be specific to the machine architecture and/or operating system you are using. Make sure you provide the output of the '$UVM_HOME/bin/uvm_os_name' script as well as the version of the simulator you are using when requesting a UVM overlay from your vendor. % $UVM_HOME/bin/uvm_os_name IUS: % irun -version Questa: % vlog -version VCS: % vcs -ID Follow the installation instructions provided by your tool vendor for installing the overlay in your UVM installation. Note to EDA vendors: to support multiple tool-specific overlays in the same UVM distribution, please locate any tool-specific files in a tool-specific sub-directory. Using the UVM ------------- You must compile the file $UVM_HOME/src/uvm.sv first. You will need to specify the location of $UVM_HOME/src as a include directory in your compilation command line using the +incdir+ command-line option. You can then make the UVM library accessible to your SystemVerilog code by importing the package 'uvm_pkg' in the appropriate scope. import uvm_pkg::*; To use the UVM short-hand macros, it is necessary to include the file "uvm_macros.svh". This will also necessitate that you specify the location of $UVM_HOME/src as a include directory. `include "uvm_macros.svh" You will also need to compile the file $UVM_HOME/src/dpi/uvm_dpi.cc and/or specify the location of the resulting UVM DPI shared library to your simulator. This is a simulator-specific process. Please refer to your simulator documentation. Prerequisites ------------- - IEEE1800 compliant SV simulator - gmake-compliant make to execute Makefile based examples - C compiler to compile the DPI code (if not otherwise provided by tool vendor) Running the examples -------------------- The examples assume the following steps to be completed: - The Compiler/Simulator environment has been setup according to the vendors instruction and you can execute compile/simulation on the commandline. To run any of the examples: 1. change to the example dir (ex: cd examples/trivial) 2. execute "make -f Makefile.{ius|vcs|questa}" depending upon your simulator vendor to run the example. The makefiles assume a gmake compiliant make tool. optional: - to see the commands and steps executed use "make -f Makefile.{ius|vcs|questa} -n" - to run against an uvm installation in a different location override the makefile variable UVM_HOME make -f Makefile.ius UVM_HOME=`ncroot`/tools/uvm ------------------------------------------------------------------------

近期下载者

相关文件


收藏者