uvm_axi-master

所属分类:芯片资料
开发工具:LINUX
文件大小:49KB
下载次数:18
上传日期:2019-04-02 20:47:57
上 传 者绵阳哈哈哈
说明:  AXI3/AXI4 BFM of UVM

文件列表:
dpi (0, 2013-06-23)
dpi\Makefile (0, 2013-06-23)
dpi\dpi_mem.c (0, 2013-06-23)
dpi\mem.c (408, 2013-06-23)
dpi\mem.h (0, 2013-06-23)
examples (0, 2013-06-23)
examples\virtual_master_to_dut_slave (0, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_axi_master_seq_lib.sv (5446, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_axi_slave_seq_lib.sv (512, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_conf.sv (535, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_lib.sv (2715, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_pkg.sv (428, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_scoreboard.sv (2545, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_tb.sv (1268, 2013-06-23)
examples\virtual_master_to_dut_slave\demo_top.sv (1047, 2013-06-23)
examples\virtual_master_to_dut_slave\dut_dummy.v (1363, 2013-06-23)
examples\virtual_master_to_virtual_slave (0, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_axi_master_seq_lib.sv (8725, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_axi_slave_seq_lib.sv (0, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_conf.sv (534, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_lib.sv (4129, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_pkg.sv (428, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_scoreboard.sv (2541, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_tb.sv (1310, 2013-06-23)
examples\virtual_master_to_virtual_slave\demo_top.sv (1018, 2013-06-23)
examples\virtual_master_to_virtual_slave\dut_dummy.v (58, 2013-06-23)
pli (0, 2013-06-23)
pli\dram.c (7844, 2013-06-23)
pli\vpi_user.c (1917, 2013-06-23)
run.py (7174, 2013-06-23)
sv (0, 2013-06-23)
sv\axi_assertions.sv (37, 2013-06-23)
sv\axi_common.sv (7347, 2013-06-23)
sv\axi_conf.sv (13785, 2013-06-23)
sv\axi_coverage.sv (0, 2013-06-23)
sv\axi_env.sv (2562, 2013-06-23)
sv\axi_master_agent.sv (1988, 2013-06-23)
sv\axi_master_driver.sv (9894, 2013-06-23)
... ...

/*/////////////////////////////////////////////////////////////////// //// //// //// Author: Sean Chen //// //// funningboy@gmail.com //// //// //// //// //// ///////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2013 //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer.//// //// //// //// This source file is free software; you can redistribute it //// //// and/or modify it under the terms of the GNU Lesser General //// //// Public License as published by the Free Software Foundation.//// //// //// //// This source is distributed in the hope that it will be //// //// useful, but WITHOUT ANY WARRANTY; without even the implied //// //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// //// PURPOSE. See the GNU Lesser General Public License for more//// //// details. http://www.gnu.org/licenses/lgpl.html //// //// //// ///////////////////////////////////////////////////////////////////*/ AXI BFM standard protocol checker supported read/write phase check normal protocol check (valid/ready) id tag mapping check (addr/data/resp) has the same id transfer read/write check, (only for slave side) like bist check TLM analysis port, user can use it to hook their third part golden design. like SystemC TLM 2.0 port or DPI interface ..., unsupported Qos(priority) region user info cache protect ... atomic check folder ./dpi : SystemVerilog DPI interface ./examples : example ./pli : Verilig PLI interface ./v : Design under test (DUT) ./sv : SystemVerilog UVM class ./log : simulation results ./rpt : simulation rpt How to run the example (1 virtual master to 1 DUT slave) 1. set up your test env 1.1 prepare your DUT and link it to our test env, for example we use 1 Virtual Master to 1 DUT slave check ./v/axi_slave.v # dut slave check ./example/virtual_master_to_dut_slave/dut_dummy.v # link dut to test env check ./example/virtual_master_to_dut_slave/demo_top.sv # top module contains DUT and Tester 1.2 Memory map table, how many Masters/Slaves, Master/Slave connection .... check ./examples/virtual_master_to_dut_slave/demo_conf.sv 1.3 define your test suites in this example, we use 1 read after 1 write to check the memory can read/write supported check ./examples/virtual_master_to_dut_slave/demo_axi_master_seq_lib.sv check ./examples/virtual_master_to_dut_slave/demo_lib.sv check ./examples/virtual_master_to_dut_slave/demo_tb.sv 2. how to run it tools requirement irun > Version 10 python > Version 2.7 python ./run.py

近期下载者

相关文件


收藏者