Regs

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2019-04-03 14:19:55
上 传 者核桃%核桃
说明:  一个小寄存器堆,使用参数化编程,附有仿真代码,可直接在vivado(2018.2版本及以后)上运行
(A small register heap, using parametric programming)

文件列表:
RF_tb.v (1184, 2019-03-31)
lab_3_RF.v (1132, 2019-04-02)

近期下载者

相关文件


收藏者