PID_Verilog

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:1KB
下载次数:72
上传日期:2019-04-30 02:32:21
上 传 者wrnd
说明:  PID算法用verilog语言实现,实测可用,由三个模块组成
(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)

文件列表:
PID_Verilog\Integral.v (398, 2017-08-01)
PID_Verilog\PID.v (3291, 2017-08-01)
PID_Verilog\ProP.v (545, 2017-07-29)
PID_Verilog (0, 2018-07-14)

近期下载者

相关文件


收藏者