fenpin4

所属分类:VHDL/FPGA/Verilog
开发工具:Quartus II
文件大小:2KB
下载次数:0
上传日期:2019-05-28 12:04:58
上 传 者zhao-xh17
说明:  分频10000倍的分频器,输入原时钟信号,输出分频10000倍后的信号
(Frequency divider of 10000)

文件列表:
fenpin4.bdf (22478, 2019-05-23)

近期下载者

相关文件


收藏者