Timer

所属分类:VHDL/FPGA/Verilog
开发工具:HTML
文件大小:375KB
下载次数:0
上传日期:2019-06-24 02:00:43
上 传 者sh-1993
说明:  VHDL中的定时器代码
(Timer code in VHDL)

文件列表:
Timer (0, 2019-06-24)
Timer\_ngo (0, 2019-06-24)
Timer\_ngo\netlist.lst (67, 2019-06-24)
Timer\_xmsgs (0, 2019-06-24)
Timer\_xmsgs\bitgen.xmsgs (360, 2019-06-24)
Timer\_xmsgs\map.xmsgs (730, 2019-06-24)
Timer\_xmsgs\ngdbuild.xmsgs (360, 2019-06-24)
Timer\_xmsgs\par.xmsgs (1718, 2019-06-24)
Timer\_xmsgs\pn_parser.xmsgs (747, 2019-06-24)
Timer\_xmsgs\trce.xmsgs (1707, 2019-06-24)
Timer\_xmsgs\xst.xmsgs (5281, 2019-06-24)
Timer\contador.vhd (762, 2019-06-24)
Timer\contador5.cmd_log (337, 2019-06-24)
Timer\contadorcinco.vhd (748, 2019-06-24)
Timer\divclock.vhd (945, 2019-06-24)
Timer\fd.cmd_log (137, 2019-06-24)
Timer\fd.lso (5, 2019-06-24)
Timer\fd.ngc (49323, 2019-06-24)
Timer\fd.ngr (34519, 2019-06-24)
Timer\fd.prj (143, 2019-06-24)
Timer\fd.stx (0, 2019-06-24)
Timer\fd.syr (25384, 2019-06-24)
Timer\fd.vhd (2991, 2019-06-24)
Timer\fd.xst (1072, 2019-06-24)
Timer\fd_envsettings.html (9186, 2019-06-24)
Timer\fd_summary.html (6081, 2019-06-24)
Timer\fd_vhdl.prj (413, 2019-06-24)
Timer\fd_xst.xrpt (13289, 2019-06-24)
Timer\hex7seg.vhd (677, 2019-06-24)
Timer\iseconfig (0, 2019-06-24)
Timer\iseconfig\top.xreport (20376, 2019-06-24)
Timer\iseconfig\v1.projectmgr (5609, 2019-06-24)
Timer\mux.vhd (1827, 2019-06-24)
Timer\pa.fromNetlist.tcl (650, 2019-06-24)
Timer\pepExtractor.prj (19, 2019-06-24)
Timer\pinagem.ucf (385, 2019-06-24)
Timer\planAhead.ngc2edif.log (784, 2019-06-24)
Timer\planAhead_pid10620.debug (3722, 2019-06-24)
Timer\planAhead_pid9924.debug (3721, 2019-06-24)
... ...

近期下载者

相关文件


收藏者